Stevenage, Hertfordshire, South East, United Kingdom
Guidant Global
as a FPGA Design Engineer: The candidate will generate complex FPGA architectures, design and verify implementations using various tools, including VHDL, Simulink, and System Verilog/UVM test bench methodologies. They will also develop low-level software for FPGA testing and integration, create high-quality designs and documentation, and work more »
Employment Type: Contract
Rate: £65 - £90 per hour + In IR35 (PAYE & Umbrella available) DOE
Qualifications & Knowledge Using FPGA technologies especially from either Xilinx, Microsemi (Actel) or Lattice and their tools Advanced verification techniques using either VHDL or System Verilog/UVM Specifying complex timing and area constraints for efficient FPGA place and route Ability to analyse system level requirements and derive detailed Firmware requirements more »
of digital blocks Experience of FPGA development, ideally Xilinx Able to undertake all aspects of digital design. Mentor and supervise junior engineers. Relevant skills: Verilog, SystemVerilog, VHDL, SDC, TCL, Xilinx Vivado, Linux, Git For more information and a confidential discussion please contact Rachel Mason at IC Resources. more »
Surrey, South East, United Kingdom Hybrid / WFH Options
Redline Group Ltd
Processing techniques A working knowledge of High-Speed ADC and DACS interfaces A working knowledge of RF transceivers A requirement for VHDL. Knowledge of Verilog is preferable Experience with Vivado is required and Xilinx (AMD) preferred Experience with both Linux and Windows operating systems is important The ideal candidate for more »
Guildford, Surrey, South East, United Kingdom Hybrid / WFH Options
People Source Consulting
and the ability to debug EMC related issues Embedded programming skills (C++) Experience using version control systems such as git FPGA development (VHDL/Verilog) experience is beneficial Experience developing RF PCBs is beneficial How to apply? Please email a CV to People Source Consulting Ltd is acting as an more »
and the ability to debug EMC related issues Embedded programming skills (C++) Experience using version control systems such as git FPGA development (VHDL/Verilog) experience is beneficial Experience developing RF PCBs is beneficial How to apply? Please email a CV to People Source Consulting Ltd is acting as an more »
Royston, Hertfordshire, South East, United Kingdom
Vector Recruitment Ltd
skills in embedded Software (C/C++/C# and perhaps Python, R etc) Ideally, exposure to PCB layouts and design tools such as Verilog VHDL Due to the nature of the role you must be able to obtain UK Security Clearance. Our view: This is a superb opportunity for more »
using test equipment. Ability to write technical specifications and adhere to design requirements. Excellent communication and collaboration skills. Experience with FPGA development (VHDL/Verilog) and/or RF PCBs would be beneficial. Apply Now: If you're ready to make an impact with your electronic design skills,apply now more »
Oxford, Oxfordshire, South East, United Kingdom Hybrid / WFH Options
ENTERPRISE RECRUITMENT LTD
years commercial embedded software experience Embedded C Working with high-speed data RTOS or Linux Embedded Software Engineer desirable skills C++ FreeRTOS VHDL or Verilog TCP/IP, Networking, protocols Python Yocto Exposure to FPGA development ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ Position : Embedded Software Engineer Location : Oxford Salary : £40-65k + benefits Key Skills more »
Kidlington, Oxfordshire, South East, United Kingdom
Fortescue WAE
industry sectors. Job role: Working with Xilinx MPSoC microprocessors Designing firmware in for power conversion systems IP core design & validation in VHDL/System Verilog Working with hardware engineers to develop automated test equipment Bootloader development Using requirements tracking software Decomposition of system level requirements to detailed software and firmware more »
Watford, Hertfordshire, South East, United Kingdom Hybrid / WFH Options
Technical Futures
include producing HDL code for VITA-49 or similar network SDR transport protocols; designing and implementing digital IF algorithms and architectures using VHDL or Verilog, developing and testing FPGA firmware and software as well as performing simulation and verification of FPGA designs. Remuneration Package: A generous base salary will be more »
Milton Keynes, Buckinghamshire, South East, United Kingdom Hybrid / WFH Options
Envisics Ltd
validate components Assist in custom hardware design bring-up Assist in ASIC post-silicon bring-up Qualifications Essential Ability to learn new skills rapidly Verilog and VHDL FPGA prototyping Functional simulation 3rd party IP integration and simulation (e.g. memory controllers, CPUs) SDC definition and timing closure Embedded software design (Embedded more »
Employment Type: Permanent, Work From Home
Salary: Shares / Equity, Pension, Private Healthcare, Wellbeing £, 33 days hol
achieve verification closure. Provide regular updates to management on the status of the verification effort. Your Profile Key Skills/Knowledge Knowledge of System Verilog for digital verification Knowledge of UVM Experience with Synopsys and/or Cadence simulation tools Fast learner with the ability to work under minimal supervision. more »
Portsmouth, Hampshire, South East, United Kingdom Hybrid / WFH Options
Airbus Uk Ltd
react quickly to customer requests whilst providing stability, support and career opportunities for your future growth. ABOUT YOU Experience in VHDL and/or Verilog field programmable gate array (FPGA) firmware development. Proficient with embedded system firmware modelling, simulation and debugging. Experience with one or more of the following development more »
Hatfield, Hertfordshire, South East, United Kingdom
Advance TRS
Fault Find new and existing systems issues, mainly related to software Verify and validate software authored by others Essential Requirements: Experience with VHDL/Verilog C or C++ experience Worked with Microprocessors 4+ years system experience in either automotive, rail, aerospace, marine or MOD background Desirable: BSc, or higher, in more »
Stevenage, Hertfordshire, South East, United Kingdom Hybrid / WFH Options
Carbon60 - Eng&Tech
Responsibilities: Generating complex FPGA architectures and design implementations (VHDL, Simulink etc), targeting Xilinx, Intel, Microsemi devices. Verifying complex FPGA implementations using VHDL and System Verilog\UVM test-bench methodologies. Using FPGA design tool-sets and Mentor verification tools (QuestaSim & ModelSim). Generating low-level software (C) to facilitate FPGA test … standard. Experience Required: Previous experience with FPGA is essential for this role. Knowledge of VHDL language and design methods Confident using VHDL and Systems Verilog methodologies. Experience of Xilnex, Intel or Micro semi FPGA. Ability to document and configure designs. Ability to work within a team of multi-skilled engineers. more »
field, using world-class facilities to deliver Firmware for complex digital systems that meet challenging future customer requirements. • Design tools such as Xilinx, TCL, Verilog, System Verilog and UVM • FPGA architectures such as Xilinx 7. Xilinx UltraScale Intel (Altera) or Microsemi (Actel) • Fast interfaces such as PCIe, Ethernet, and JESD more »
interested in hearing from candidates with experience of FPGA design and verification including: Defining firmware requirements Architecting firmware systems Implementation of designs in VHDL, Verilog or System Verilog Verification of designs in VHDL, OSVVM or System Verilog and UVM Documentation of designs/verification Integration of FPGA/SoC Systems more »
power applications. Main Tasks & Responsibilities Design, development, and test of analogue and digital electronic circuits including microcontrollers and FPGAs and embedded firmware (VHDL/Verilog/System Verilog) Theoretical design and system design using Digital Signal Processing techniques, and implementation into product development and low power applications. Support product design more »