Electronic Engineer – Cambridge - £50,000 - £75,000 About the Company: Join a pioneering consultancy where scientists, engineers, and designers collaborate to innovate, develop, and design cutting-edge products and technologies spanning various industries. Be part of a team in Cambridge working on award-winning technology that continues to … set new benchmarks. Job Description: We are seeking an accomplished Electronic Engineer to contribute to a dynamic team. As an Electronic Engineer, you will play a key role in designing, testing, and implementing electronic systems and equipment, particularly in the defense and homeland security sectors. Collaborate closely … electronic engineering. Proficient in electronic circuit design, including schematic capture and PCB layout. Experience with electronicdesignautomation (EDA) tools such as Altium, Cadence, or Mentor Graphics. Competence in FPGA, RF, Microwave Electronics, Antennas, etc. Strong analytical and problem-solving skills. Excellent communication and more »
Overview Pragmatic is seeking an exceptional Director of IC Design to lead a growing team through a critical period for the business. The Director of IC Design will be responsible for developing and delivering innovative mixed-signal IP, foundation IP, and IC products, targeting Pragmatic's FlexIC process. … require a focus on both innovation and project execution, striking a balance between near term revenue generation and longer term technology roadmaps. The IC Design team covers disciplines from concept to tape out, including systems engineering, analog/digital design, and layout. Reporting to the VP Product Development … think strategically to develop effective plans and organisational structure Stakeholder management, representing the team to internal and external customers Experience in IC DesignEDA methodology and roll out of new flows Proactive problem solving skills, willing to work across boundaries to ensure success Ability to work to tight deadlines more »
Cambridge, England, United Kingdom Hybrid / WFH Options
Connected Consulting Limited
We think you'll love this opportunity, even if we are slightly bias! As an experienced Hardware Design Engineer, you will have the opportunity to work alongside some of the leading experts within GPU technology. Working within the ever-expanding GPU function, you will work closely with the block … design teams, to create and implement new processes and methodologies to develop the latest/next generation GPUs. The goal is to always deliver the best possible GPU products, and this is a true team effort where you will need to collaborate with multiple teams across architecture, model, design … design issues. Explore technologies and methodologies to improve GPU power, performance, and area. Required Skills and Experience: Hardware description languages (System Verilog). EDA simulators (Siemens, Synopsys, Cadence etc) Scripting for designautomation using languages such as Python, Perl, JSON, Tcl, Make etc. Version control and code more »
employees. The Person We are looking for someone who is passionate and interested in the development of custom IC to deliver high quality RTL design, supporting relevant verification strategy and plan, with strong knowledge of frontend and backend digital IC design flow including coverage, synthesis, static timing analysis … and finding efficient ways to solve problems. Main Responsibilities Develop digital designs for custom IC integration – this would take the form of writing IP design specifications and coding Verilog and SystemVerilog models for simulation, synthesis and static timing analysis, creating testbenches and writing automated build scripts for simulation and … AHB, APB, AXI-Stream, etc) Experience in digital IC design backend flow synthesis, STA, test insertion, MBIST, formality, GDS layout etc Experience in EDA tools for custom IC development like Siemens Questa for simulation and verification, Synopsys DesignCompiler for synthesis and STA, Spyglass for linting, etc. Experience in writing more »
looking to thrive in a collaborative environment. You will play a crucial role in overseeing and co-ordinating all aspects of integrated circuit (IC) design for testability (DFT) activities. You will have excellent communication and organisational skills with the ability to proactively assist colleagues and spot areas for improvement. … MBIST/BISR implementation. Has worked on ATPG and is well conversed with the files required to run ATPG. Knowledge/experience with various EDA tools like Tessent ATPG (mentor) is a plus Has worked on Spyglass-Lint. Knowledgeable on TestMAX ATPG and TestMAX DFT Knowledgeable on test automation … insertion or verification issues Experience of supporting gate-level simulations Essential Skills Strong IC design and verification skills and relevant knowledge Knowledge of EDA tools like, Tessent, Cadence Module or TestMax Analytical thinking and attention to detail Proficiency in using computer software and relevant tools Highly skilled individual with more »
and at least 3 years of experience in semiconductor design, verification or application. Strong knowledge of digital and analog circuits, FPGA, ASIC, SoC, EDA tools and methodologies are required, while previous experience engaging with semiconductor foundries and/or OSATs is welcomed. You should have excellent communication, presentation and … case, products, and support use of PragmatIC’s PDK and physical deliveries. Consult on project technical feasibility, specifications, and deliverables. Work closely with IC Design, Test and Assembly teams to ensure customer success. Support Sales with new customer engagements, engaging directly with the customer and providing supporting materials. Provide … of PDK, technical notes, and business systems Present credible representations of PragmatIC technology strengths and weaknesses internally and externally. Aid customers through from the design phase of a project through to manufacture and delivery, providing feedback and inputs across the process. Develop proof-of-concept and demonstrators of novel more »
them through designing their chips with test features such as scan chains, ATPG, and MBIST/BISR. You would be working within their IC design team alongside other top professionals and be the lead for test related features. This includes training and mentoring the other team members. Requirements: In … and digital IC design knowledge centred around DFT technologies Specific knowledge regarding MBIST/BISR, scan chain stitching, and ATPG Good knowledge of EDA tools such as Tessent, Cadence Module, TestMAX, and Spyglass-Lint Industry knowledge of ATE systems like Teradyne or Advantest Experience developing test benches and test … is a competitive salary and benefits package. Keywords: IC, DFT, Design for Test, BIST, MBIST, BISR, ATPG, Test Plan, HDL, Verilog, SystemVerilog, FPGA, EDA, Tessent, Mentor, Synopsys, Spyglass-Lint, TestMAX, JTAG, OCC, I2C, I3C, ATE, Automated Test Equipment Another top job from ECM, the high-tech recruitment experts. Even more »
Field Applications Engineer – FPGA/EDA I am working with a multi-national EDA and semiconductor IP company who are seeking a Field Applications Engineer to join their team in the UK. As Field Application Engineer, you will be supporting a major customer on the verification of their designs. This … of retention and development of their staff members, so this is an opportunity not to be missed! Hybrid role Field Applications Engineer – FPGA/EDA Relevant technical degree, or equivalent, in electronic engineering. Solid understanding of FPGA technologies including verification, emulation etc. Ideally, you will have pre-sales experience … or a passion to go into pre-sales, with the commercial acumen for the position. An understanding of the EDA eco-system will be beneficial. If you are interested in finding out more about this exciting opportunity, please reach out to Rebecca at IC Resources for more information! Rebecca.goss@ic more »
Mechatronic automation for new scientific applications This new venture is drawing on years of expertise in mechatronic systems and scientific instruments to develop new laboratory mechatronic systems including robotic components. It will suit a senior mechatronic or electromechanical engineer with relevant prior experience. These new systems combine electronics, mechanical … and software technologies in a unique scalable form factor ideally suited to laboratory use. Design scale is for bench-top rather than large industrial or smaller miniaturised systems. You will bring: A hands-on background across electronics and mechanical systems, used in combination. Embedded software skills are a plus. … taking a systemic view and considering relevant safety and quality standards, ideally in a cross-discipline context. Relevant skills include a broad combination of EDA (e.g. Altium) and CAD (e.g. SolidWorks) design, component and board prototyping, use of bench tools and instruments, machining, assembly, hands-on testing, iteration, designmore »
IC DFT Engineer, Cambridge, salary negotiable, dependent upon experience. An excellent opportunity for a capable IC Design for test Engineer to join a well funded, high calibre multi-disciplined R & D driven technology company whose IP and technology is used in high end mobile devices, drones, autonomous vehicles and … consumer electronic devices around the world. As a result of building a new Semiconductor Team they are looking for a dynamic and ambitious DFT engineer to join their growing team of world-class engineers, who will make their mark on ultra precise imaging and positioning control technology. They are … FPGA Developing test-benches and documenting test plans You will need - Essential Strong IC design and verification skills and relevant knowledge Knowledge of EDA tools (Tessent, Cadence Module or TestMax) Analytical thinking and attention to detail Highly skilled individual with many successful tape outs/experience MBIST expert ATPG more »
in architecting/implementing formal verification environments. Experience in SVA and PSL. Experience working with industry-leading formal tools. eg. Cadence, Jasper Gold, Siemens EDA QuestaFormal, or Synopsys. Keywords: Formal Verification/Semiconductor/Semi conductor/Semi-conductor/GPU/SystemVerilog/System Verilog Assertions/Property Specification … Language/Cadence/JasperGold/Siemens EDA/Synopsys If you are interested in this Formal Verification Engineer position, please send a CV to ts@eu-recruit.com By applying to this role you understand that we may collect your personal data and store and process it on our systems. more »